imported patch recoverPCfromTrap

This commit is contained in:
Korey Sewell 2011-06-19 21:43:37 -04:00
parent 264e8178ff
commit d02fa0f6b6
2 changed files with 2 additions and 0 deletions

View file

@ -1312,6 +1312,7 @@ InOrderCPU::instDone(DynInstPtr inst, ThreadID tid)
lastCommittedPC[tid] = comm_pc;
TheISA::advancePC(comm_pc, inst->staticInst);
pcState(comm_pc, tid);
DPRINTF(InOrderGraduation, "Precise State PC = %s\n", pcState(tid));
//@todo: may be unnecessary with new-ISA-specific branch handling code
if (inst->isControl()) {

View file

@ -198,6 +198,7 @@ FetchSeqUnit::squash(DynInstPtr inst, int squash_stage,
bdelay_inst->pc, nextPC);
if (bdelay_inst->pc.instAddr() == nextPC.instAddr()) {
bdelay_inst->pc = nextPC;
advancePC(nextPC, inst->staticInst);
DPRINTF(Resource, "Advanced PC to %s\n", nextPC);
}