Formatting

--HG--
extra : convert_revision : f5a940a8b9aaba0703781b398cf29be581907c21
This commit is contained in:
Nathan Binkert 2006-12-29 16:57:45 -08:00
parent 9e90bfafb5
commit 81e0ac3000

View file

@ -41,7 +41,8 @@ class BaseCPU(SimObject):
"terminate when all threads have reached this load count")
max_loads_any_thread = Param.Counter(0,
"terminate when any thread reaches this load count")
progress_interval = Param.Tick(0, "interval to print out the progress message")
progress_interval = Param.Tick(0,
"interval to print out the progress message")
defer_registration = Param.Bool(False,
"defer registration with system (for sampling)")