include signal.h

--HG--
extra : convert_revision : 9b5ad2704dfd63a1aa8ad0e4275fd0e3a7d32d6d
This commit is contained in:
Nathan Binkert 2007-03-03 12:26:14 -05:00
parent e78bcd94a0
commit 61178c8de2

View file

@ -29,6 +29,7 @@
*/
#include <Python.h>
#include <signal.h>
#include <iostream>
#include <string>